Research Article

AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Table 1

Resource utilization and maximum clock performance of different binary tree based NoC configurations.

NoC # of PEsLUTsFFsFmax (MHz)

Fat Tree42833760180
Fat Tree83660912150
Fat Tree16124313040135
Fat Tree3237047851294

Binary Tree4522510450
Binary Tree816161566407
Binary Tree1636033726420
Binary Tree3260776850425

AsyncBTree47601350481,416
AsyncBTree822424100506,407,418
AsyncBTree1642127506483,386,416
AsyncBTree321004618360460,387,377