Research Article

AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Figure 7

Worst-case latency of different binary NoC architectures with varying size corresponding to different traffic patterns when all of them are clocked at the same clock frequency.
(a) Random
(b) Tornado
(c) Reverse