Research Article

AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Figure 5

Packet structure.